Accuracy and Physical Characterization of Approximate Arithmetic Circuits

  • Daniela Catelan UFMS
  • Ricardo Santos UFMS
  • Liana Duenha UFMS

Resumo


With the end of Dennard's scale, designers have been looking for new alternatives and approximate computing (AC) has managed to attract the attention of researchers, by offering techniques ranging from the application level to the circuit level. When applying approximate circuit techniques in hardware design, the program user may speed up the application while a designer may save area and power dissipation at the cost of less accuracy on the operations results. This paper discusses the compromise between accuracy versus physical efficiency by presenting a set of experiments and results of tailor-made approximate arithmetic circuits on Field-Programmable Gate Array (FPGA) platforms. Our results reveal that an approximate circuit with accuracy control could not be useful if the goal is to save circuit area or even power dissipation. Even for circuits that seem to have power efficiency, we should care about the size and prototyping platform where the hardware will be used.

Referências

Almurib, H. A. F., Kumar, T. N., and Lombardi, F. (2016). Inexact designs for approxi- mate low power addition by cell replacement. In Proceedings of the 2016 Conference on Design, Automation & Test in Europe, DATE '16, page 660–665, San Jose, CA, USA. EDA Consortium.

Azevedo, R., Rigo, S., Bartholomeu, M., Araujo, G., Araujo, C., and Barros, E. (2005). The ArchC Architecture Description Language and Tools. In International Journal of Parallel Programming. Vol. 33, No. 5, pages 453–484.

Gorantla, A. and Deepa, P. (2019). Design of approximate subtractors and dividers for error tolerant image processing applications. Journal of Electronic Testing, pages 1–7.

Gupta, V., Mohapatra, D., Raghunathan, A., and Roy, K. (2013). Low-power digital signal processing using approximate adders. IEEE Trans. on CAD of Integrated Circuits and Systems, 32(1):124–137.

Iqbal, S., Liang, Y., and Grahn, H. (2010). Parmibench - an open-source benchmark for embedded multiprocessor systems. Computer Architecture Letters, 9(2):45–48.

Jiang, H., Han, J., and Lombardi, F. (2015). A comparative review and evaluation of approximate adders. In Proceedings of the 25th Edition on Great Lakes Symposium on VLSI, GLSVLSI '15, page 343–348, New York, NY, USA. Association for Computing Machinery.

Kanani, A., Mehta, J., and Goel, N. (2020). Aca-csu: A carry selection based accuracy congurable approximate adder design. In 2020 IEEE Computer Society Annual Sym- posium on VLSI (ISVLSI), pages 434–439.

Kulkarni, P., Gupta, P., and Ercegovac, M. D. (2011). Trading accuracy for power in a multiplier architecture. Journal of Low Power Electronics, 7(4):490–501.

Lahari, P. L., Bharathi, M., and Jyothi Shirur, Y. (2020). An efcient truncated mac using approximate adders for image and video processing applications. In 2020 4th International Conference on Trends in Electronics and Informatics (ICOEI)(48184), pages 1039–1043.

Lee, J., Seo, H., Kim, Y., and Kim, Y. (2020). Approximate adder design with simplied lower-part approximation. IEICE Electronics Express.

Mittal, S. (2016). A survey of techniques for approximate computing. ACM Computing Surveys (CSUR), 48(4):1–33.

Muthulakshmi, S., Dash, C., and Prabaharan, S. (2018). Memristor augmented approxi- mate adders and subtractors for image processing applications: An approach. AEU - International Journal of Electronics and Communications, 91.

Nayar, R., Balasubramanian, P., and Maskell, D. L. (2020). Hardware optimized approx- imate adder with normal error distribution. In 2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pages 84–89.

Venkataramani, S., Chakradhar, S. T., Roy, K., and Raghunathan, A. (2015). Approximate computing and the quest for computing efciency. In 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pages 1–6. IEEE.

Woo, S. C., Ohara, M., Torrie, E., Singh, J. P., and Gupta, A. (1995). The Splash-2 In Proceedings of Programs: Characterization and Methodological Considerations. the 22nd International Symposium on Computer Architecture - ISCA'95, pages 24–36. ACM.

Yang, Z., Jain, A., Liang, J., Han, J., and Lombardi, F. (2013). Approximate xor/xnor- based adders for inexact computing. Proceedings of the IEEE Conference on Nan- otechnology, pages 690–693.
Publicado
21/10/2020
Como Citar

Selecione um Formato
CATELAN, Daniela; SANTOS, Ricardo; DUENHA, Liana. Accuracy and Physical Characterization of Approximate Arithmetic Circuits. In: SIMPÓSIO EM SISTEMAS COMPUTACIONAIS DE ALTO DESEMPENHO (SSCAD), 21. , 2020, Online. Anais [...]. Porto Alegre: Sociedade Brasileira de Computação, 2020 . p. 143-154. DOI: https://doi.org/10.5753/wscad.2020.14065.