Avaliação de um framework de apoio ao desenvolvimento de heurísticas de escalonamento sensível ao consumo energético

  • Bruno Pinto UFPel
  • Lucas Xavier UFPel
  • Gerson Cavalheiro UFPel

Resumo


Os processadores modernos oferecem informações sobre seu consumo de energia. No entanto, a inexistência de uniformização e padronização desses dados restringe a portabilidade de soluções que os utilizam. Este trabalho apresenta um framework que provê uma interfaceúnica de serviços para acessar as informações de consumo energético de processadores de forma uniforme em tempo de execução. Como validação, o consumo energético de aplicações concorrentes é monitorado. Um estudo de casos discute a aplicabilidade dessa ferramenta em uma estratégia de escalonamento sensível ao consumo energético.

Referências

AMD (2013). AMD Family 15h Processor BIOS and Kernel Developer Guide. Rev 3.14.

Araujo, A. S. (2013). Anahy-3: Um novo ambiente de execução otimizado para arquiteturas multicore. Trabalho acadêmico (graduação), Universidade Federal de Pelotas.

Baskiyar, S. and Abdel-Kader, R. (2010). Energy aware dag scheduling on heterogeneous systems. Cluster Computing, 13(4):373–383.

Broquedis, F., Clet-Ortega, J., Moreaud, S., Furmento, N., Goglin, B., Mercier, G., Thibault, S., and Namyst, R. (2010). hwloc: A generic framework for managing hardware afnities in HPC applications. In Parallel, Distributed and Network-Based Processing (PDP), 2010 18th Euromicro International Conference on, pages 180–186, Pisa. IEEE.

Cavalheiro, G. G. H. and Du Bois, A. R. (2014). Ferramentas modernas para programação multithread. In Salgado, A. C., Lóscio, B. F., Alchieri, E., and Barreto, P. S., editors, Jornadas de Atualização em Informática, pages 41–83. Sociedade Brasileira de Computação, Porto Alegre.

Chu, S.-L., Chen, S.-R., and Weng, S.-F. (2013). CPPM: a comprehensive power-aware processor manager for a multicore system. Applied Mathematics & Information Sciences, 7:793–800.

Gautier, T., Roch, J.-L., and Villard, G. (1995). Regular versus irregular problems and algorithms. In Proc. of IRREGULAR'95, Lyon, France, pages 1–26. Springer-Verlag.

Goel, B. (2011). Per-core power estimation and power aware scheduling strategies for CMPs. Master's thesis, Institutionen för dataoch informationsteknik, Datorteknik (Chalmers), Chalmers tekniska högskola,. 70.

Graham, R. L. (1972). Bounds on multiprocessing anomalies and related packing algorithms. In Proceedings of the May 16-18, 1972, Spring Joint Computer Conference, AFIPS '72 (Spring), pages 205–217, New York, NY, USA. ACM.

Hähnel, M., Döbel, B., Völp, M., and Härtig, H. (2012). Measuring energy consumption for short code paths using RAPL. SIGMETRICS Perform. Eval. Rev., 40(3):13–17.

Houben, C. K. and Halang, W. A. (2014). An energy-aware dynamic scheduling algorithm for hard real-time systems. In Embedded Computing (MECO), 2014 3rd Mediterranean Conference on, pages 14–17.

Intel (2013). Intel 64 and IA-32 Architectures Software Developer's Manual.

Joseph, R. and Martonosi, M. (2001). Run-time power estimation in high performance microprocessors. In Low Power Electronics and Design, International Symposium on, 2001., pages 135–140, Huntington Beach. ACM.

Jotwani, R., Sundaram, S., Kosonocky, S., Schaefer, A., Andrade, V., Constant, G., Novak, A., and Naffziger, S. (2010). An x86-64 core implemented in 32nm soi cmos. In SolidState Circuits Conference Digest of Technical Papers (ISSCC), 2010 IEEE International, pages 106–107.

Libutti, S., Massari, G., Bellasi, P., and Fornaciari, W. (2014). Exploiting performance counters for energy efcient co-scheduling of mixed workloads on multi-core platforms. In Proceedings of Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM '14, pages 27:27–27:32, New York. ACM.

Lu, Y.-H., Benini, L., and De Micheli, G. (2000). Low-power task scheduling for multiple devices. In Hardware/Software Codesign, 2000. CODES 2000. Proceedings of the Eighth International Workshop on, pages 39–43.

McCullough, J. C., Agarwal, Y., Chandrashekar, J., Kuppuswamy, S., Snoeren, A. C., and Gupta, R. K. (2011). Evaluating the effectiveness of model-based power characterization. In Proceedings of the 2011 USENIX Conference on USENIX Annual Technical Conference, USENIXATC'11, Berkeley. USENIX Association.

Nichols, Bradford and Buttlar, Dick and Farrell, Jacqueline (1996). Pthreads programming: a posix standard for better multiprocessing.

OpenMP ARB (2013). Openmp application program interface. Acessado: 07-jul-2015.

Pallipadi, V. and Starikovskiy, A. (2006). The Ondemand Governor: past, present and future. In Proceedings of Linux Symposium, vol. 2, pp. 223-238, Ottawa.

Patki, T., Lowenthal, D. K., Rountree, B., Schulz, M., and de Supinski, B. R. (2013). Exploring hardware overprovisioning in power-constrained, high performance computing. In Proceedings of the 27th International ACM Conference on International Conference on Supercomputing, ICS '13, pages 173–182, New York, NY, USA. ACM.

Petrucci, V., Loques, O., Mossé, D., Melhem, R., Gazala, N. A., and Gobriel, S. (2015). Energy-efcient thread assignment optimization for heterogeneous multicore systems. ACM Trans. Embed. Comput. Syst., 14(1):15:1–15:26.

Press, W. H., Flannery, B. P., Teukolsky, S. A., and Vetterling, W. T. (1988). Numerical Recipes in C: The Art of Scientic Computing. Cambridge University Press, New York, NY, USA.

Rotem, E., Naveh, A., Rajwan, D., Ananthakrishnan, A., and Weissmann, E. (2012). Powermanagement architecture of the Intel microarchitecture code-named Sandy Bridge. Micro, IEEE, 32(2):20–27.

Sheikh, H. F., Tan, H., Ahmad, I., Ranka, S., and Bv, P. (2012). Energyand performanceaware scheduling of tasks on parallel and distributed systems. J. Emerg. Technol. Comput. Syst., 8(4):32:1–32:37.

Shekar, V. and Izadi, B. (2010). Energy aware scheduling for dag structured applications on heterogeneous and dvs enabled processors. In Green Computing Conference, 2010 International, pages 495–502.

Venkatachalam, V. and Franz, M. (2005). Power reduction techniques for microprocessor systems. ACM Comput. Surv., 37(3):195–237.

Walter, B., Bala, K., Kulkarni, M., and Pingali, K. (2008). Fast agglomerative clustering for rendering. In Interactive Ray Tracing, 2008. RT 2008. IEEE Symposium on, pages 81–86.

Yang, X., Zhou, Z., Wallace, S., Lan, Z., Tang, W., Coghlan, S., and Papka, M. E. (2013). Integrating dynamic pricing of electricity into energy aware scheduling for HPC systems. In Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis, SC '13, pages 60:1–60:11, New York. ACM.
Publicado
18/10/2015
Como Citar

Selecione um Formato
PINTO, Bruno; XAVIER, Lucas; CAVALHEIRO, Gerson. Avaliação de um framework de apoio ao desenvolvimento de heurísticas de escalonamento sensível ao consumo energético. In: SIMPÓSIO EM SISTEMAS COMPUTACIONAIS DE ALTO DESEMPENHO (SSCAD), 16. , 2015, Florianópolis. Anais [...]. Porto Alegre: Sociedade Brasileira de Computação, 2015 . p. 72-83. DOI: https://doi.org/10.5753/wscad.2015.14273.